$readmem Error Open Failed On File
Contents |
Help Rules Groups Blogs What's New? Teardown Videos Datasheets Advanced Search Forum Digital Design and Embedded Programming PLD, SPLD, GAL, CPLD, FPGA Design Failed to open file in read mode.(modelsim) + Post New Thread Results 1 to 8 (vlog-7) failed to open design unit file of 8 Failed to open file in read mode.(modelsim) LinkBack LinkBack URL About LinkBacks Thread error vcom 7 failed to open design unit file in read mode Tools Show Printable Version Download This Thread Subscribe to this Thread… Search Thread Advanced Search 23rd November 2005,07:42 #1 Dylan01 Newbie vlog 7 error level 5 Join Date Jul 2005 Posts 9 Helped 1 / 1 Points 1,455 Level 8 failed to open readmem file I want to read a data file and take the data in memory, while using following modelsim readmemh $readmemb("data.dat", memory); (memory is a register array) modelsim giving following error ** Warning: (vsim-7) Failed to open readmem file "data.dat" in read mode. and it is not reading the correct data. Why this error is coming and what is the solution.contents of data.dat are : @000 00010010 00000011 @002 11111111 01010101 00000000 10101010 @006 1111zzzz 00001111 someone plz suggest solution 23rd November 2005,07:42 23rd November 2005,10:34 #2 echo47 Advanced Member level 5
$readmemb
Join Date Apr 2002 Location USA Posts 3,942 Helped 659 / 659 Points 32,456 Level 44 failed to open readmem file in read mode No problem here. I created data.dat and then ran this is ModelSim SE 6.1b: Code: module test; reg [7:0] memory [0:7]; integer n; initial begin $readmemb("data.dat", memory); for (n=0; n<8; n=n+1) $display("%b", memory[n]); end endmodule Results: # 00010010 # 00000011 # 11111111 # 01010101 # 00000000 # 10101010 # 1111zzzz # 00001111 23rd November 2005,10:56 #3 Dylan01 Newbie level 5 Join Date Jul 2005 Posts 9 Helped 1 / 1 Points 1,455 Level 8 failed to open data file this is the code . 1 thing here that code was working fine to me previously but now its not working . i wanted to know is there a problem in s/w shud i reinstall it .. module readfile; reg [7:0] memory[0:7]; integer i; initial begin $readmemb("data.dat", memory); for(i=0; i < 8; i = i + 1) $display("Memory [%0d] = %b", i, memory[i]); end endmodule 23rd November 2005,10:56 23rd November 2005,11:55 #4 echo47 Advanced Member level 5 Join Date Apr 2002 Location USA Posts 3,942 Helped 659 / 659 Points 32,456 Level 44 failed to open readmem file in read mode. Did the problem begin after you updated your ModelSim? Here are comments from various Re
simulator of choice/convenience: module test; reg [7:0] mem[0:7]; initial $readmemh("file_not_found", mem); endmodule Here's VCS's (4.1.2) response: Warning: Can't open file_not_found: No such file or directory Warning: $readmem: cannot open file 'file_not_found' Personally
Verilog Readmemh
I think it should be an error and the simulation should abort. 1364-1995 is silent on the matter. Thanks, /Ed Lucent Technologies -- Sat, 12 May 2001 03:00:00 GMT Ashutosh Varm#2 / 7 $readmem and file not found It is debatable if this should be treated as a fatal error. It is not uncommon for simulation environment to have many memories, not all of which may be initialized for each run. Anyway, http://www.edaboard.com/thread50478.html verilog does not have a system of run-time errors resulting in simulation being aborted, unlike VHDL, where things like out of bound array indexes are fatal errors. Only way to end simulation is to do a finish or when the event queue is empty. -- Ashutosh Varma Axis Systems Senior Application Specialist http://computer-programming-forum.com/41-verilog/945fa4ff7c9f3efd.htm 209 Java Drive Phone: (408)588-2000 x143 Fax: (408)588-1662 Sat, 12 May 2001 03:00:00 GMT Edward Arthu#3 / 7 $readmem and file not found I've proposed to the 1364 committee an extra parameter to $readmemX. If not present or 0 it defaults to the current behaviour. If 1 the memory file not found is a fatal error. /Ed Quote: > It is debatable if this should be treated as a fatal error. It is not > uncommon for simulation environment to have many memories, not all of > which may be initialized for each run. > Anyway, verilog does not have a system of run-time errors resulting in > simulation being aborted, unlike VHDL, where things like out of bound > array indexes are fatal errors. > Only way to end simulation is to do a finish or when the event queue is > empty. -- Sat, 12 May 2001 03:00:00 GMT Robert Fairli#4 / 7 $readmem and file not found I'm a great fan of Giving The User The Choice, so I approve of the principle of Edward's suggestion, however it would seem more consistent with existing conventions for the $readmem
Forum Device and Tools Related Quartus II and EDA Tools Discussion Modelsim error: Failed to open file "mem_init.mif" for reading? If this is your first visit, be sure to check out the FAQ http://www.alteraforum.com/forum/showthread.php?t=44420 by clicking the link above. You may have to register before you can post: http://support.sas.com/kb/14/865.html click the register link above to proceed. To start viewing messages, select the forum that you want to visit from the selection below. Results 1 to 4 of 4 Thread: Modelsim error: Failed to open file "mem_init.mif" for reading? Thread Tools Show Printable Version Email this Page… Subscribe to this Thread… Search Thread Advanced failed to Search Display Linear Mode Switch to Hybrid Mode Switch to Threaded Mode March 22nd, 2014,07:26 AM #1 raymondxuym View Profile View Forum Posts Altera Pupil Join Date Mar 2014 Posts 12 Rep Power 1 Modelsim error: Failed to open file "mem_init.mif" for reading? Hey guys: I instantiated a synchronous RAM megafunction in my project and successfully compiled in Quartus and Modelsim. But when I run the simulation there is failed to open no waveform. I checked the Modelsim transcript it shows an error and two warnings: # ** Warning: (vsim-3534) [FOFIR] - Failed to open file "mem_init.mif" for reading. # No such file or directory. (errno = ENOENT) : /opt/altera/13.0sp1/quartus/eda/sim_lib/altera_mf.v(187) # Time: 0 ps Iteration: 0 Instance: /proc_testbench/DUV_2/altsyncram_component # ERROR: cannot read mem_init.mif. # ** Warning: (vsim-7) Failed to open readmem file "mem_init.ver" in read mode. # No such file or directory. (errno = ENOENT) : /opt/altera/13.0sp1/quartus/eda/sim_lib/altera_mf.v(45501) It seems that Modelsim cannot find the initial memory file. How can I solve the problem? Thanks in advance! Yumeng Reply With Quote March 22nd, 2014,08:38 AM #2 kaz View Profile View Forum Posts Altera Guru Join Date Oct 2008 Location London Posts 3,366 Rep Power 1 Re: Modelsim error: Failed to open file "mem_init.mif" for reading? Originally Posted by raymondxuym Hey guys: I instantiated a synchronous RAM megafunction in my project and successfully compiled in Quartus and Modelsim. But when I run the simulation there is no waveform. I checked the Modelsim transcript it shows an error and two warnings: # ** Warning: (vsim-3534) [FOFIR] - Failed to open file "mem_init.mif" for reading. # No such file or directory. (errno = ENOENT) : /opt/altera/13.0sp1/quartus/eda/sim_lib/altera_mf.v(187) # Time: 0 ps Iteration: 0
Samples & SAS Notes Focus Areas SUPPORT License Assistance Manage My Software Account Downloads & Hot Fixes TRAINING & BOOKS Books Training Certification SAS Global Academic Program SAS OnDemand For Academics USERS GROUPS Advanced Search support.sas.com Knowledge Base Support Training & Books Store Support Communities Knowledge Base Products & Solutions System Requirements Install Center Third-Party Software Reference Documentation Papers Samples & SAS Notes Browse by Topic Search Samples Search Usage Notes Search Installation Notes Search Problem Notes Focus Areas Usage Note 14865: Error Utility file open failed in SAS 9.1.3 and above If you get the following error in SAS 9.1.3 and above, you may need to increase your space allocation for UTILLOC or you may need to increase your REGION parameter. If you specified UTILLOC=WORK, you need to increase your work space allocation. If you specified the location of temporary utility files with the UTILLOC option, you will need to increase the space in your UTILLOC allocation. You only need to code REGION on your job card. ERROR: Utility file open failed In SAS 9.1 and above, you can include any of the following keywords in your ALLOC command: TRACKS, CYL, BLOCK, SPACE, UCOUNT, VOL, UNIT, STORCLAS, MGMTCLAS, and DATACLAS. For additional information, refer to SAS Notes 014705, 018227, and 18626. Operating System and Release InformationProduct FamilyProductSystemSAS ReleaseReportedFixed*SAS SystemBase SASz/OS9.1 TS1M3* For software releases that are not yet generally available, the Fixed Release is the software release in which the problem is planned to be fixed. Type:Usage NotePriority:Topic:Data Management ==> Access ==> SAS I/ODate Modified:2007-03-01 10:55:41Date Created:2005-03-29 08:52:42 This content is presented in an iframe, which your browser does not support. To view the RateIT tab, click here.